Monday 23 June 2014

set_timing_derate: Derating

-->

Derating is nothing but the The process variations: means that say you have two similar AND gates in your design placed at diffirent places. Then ideally it should have same delay right. But due to process variations it will not be the same. also u can think the temperature variation might also be diff at these places.
So to be realistic we derate the values and check at the worst case possible for meeting the timing.


Set_timing_derate:
Sets derating factors on the current design or specified objects. Derating factors specify the upper and lower limits on delays for a particular operating condition.

The set_timing_derate command sets derating factors for the current design or specified objects. If timing derating factors are specified, some or all path delays are multiplied by derating factors. Derating factors define the lower and upper ranges of timing for a certain operating condition. The derating factor specified with the -late option multiplies data paths delays for maximum delay (setup) check and clock paths delays for minimum delay (hold) check. The derating factor specified with the -early option multiplies data paths for hold checks and clock paths for setup checks. The -net_delay, -cell_delay, and -cell_check options apply the specified derating value to net delays, cell delays, or cell setup and hold time requirements, respectively. The -net_delay option can apply to the whole design only, so it cannot be used with an object list. If none of these three options is used and an object list is provided, the derating value applies to cell delay only; if no object list is provided, the value applies to both cell delay and net delay. The setup timing check is calculated using the maximum operating condi- tion. The hold timing check is calculated using the minimum operating condition. Input delay and ideal clock network latency are not derated.

Multicorner-Multimode Support
This command applies to the current scenario only. By default, when you set timing derate factors on a library cell, the setting is applied to all scenarios. You can control whether timing derate factors on librarycells are scenario-specific with the timing_library_der- ate_is_scenario_specific variable. If this variable is true when you set the timing derate factor on a library cells, the timing derate fac- tors apply to the current scenario only. For more information see the man page for the timing_library_derate_is_scenario_specific variable.



Usage: set_timing_derate # set_timing_derate
[-aocvm_guardband] (specify an AOCVM guardband derate factor)
[-rise] (specify derating factor for rise delay)
[-fall] (specify derating factor for fall delay)
[-min] (specify derating factor for min operating condition)
[-max] (specify derating factor for max operating condition)
[-early] (specify the minimum derating factor, default is 1.0)
[-late] (specify the maximum derating factor, default is 1.0)
[-clock] (specify the derating factors are to apply to clock paths only)
[-data] (specify the derating factors are to apply to data paths only)
[-net_delay] (specify the derating factors are to apply to nets only)
[-cell_delay] (specify the derating factors are to apply to cell delays only)
[-cell_check] (specify the derating factors are to apply to cell timing checks only)
derate_value (timing derate factor)
[object_list] (list of cells and/or designs)


Reference:ICC synopsis tools

No comments:

Post a Comment